Logo

    semicon west 2023

    Explore "semicon west 2023" with insightful episodes like "SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era", "Winbond Electronics' Alex Wei and Omar Ma talk about the Memory of Everything", "Bruce Kim, Danny Kim and Rose Lee Talk About Saving the World with Secondary Semiconductor Equipment and Parts", "SEMICON West 2023 3D InCites Member Spotlight" and "SEMI’s Ajit Manocha Talks About PFAS and Other Headwinds to Achieving $1Trillion in the Semiconductor industry" from podcasts like ""3D InCites Podcast", "3D InCites Podcast", "3D InCites Podcast", "3D InCites Podcast" and "3D InCites Podcast"" and more!

    Episodes (9)

    SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era

    SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era

    This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023. 

    The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news. 

    Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and shares news of his participation at a Dutch and Flemish delegation in Phoenix Arizona in early December. 

    Mike Motherway, Cimetrix by PDF Solutions, talks about the role cloud-native data tools for AI applications play in global fab expansion. 


    Debbie Claire Sanchez at ERS Electronic explains the company’s partnership with PulseForge to bring photonic debonding to their product portfolio as an equipment integrator of this technology. 

    David Wang and Jim Straus of ACM Research share the news of the company’s expansions in Asia as well as the Grand Opening of its new office in Portland, Oregon.

     Hans Peters and Emerald Grieg, PTW Group,  introduce a new tool line, SpinTec, established to renew and restore legacy SEZ single wet wafer tools. 

     Abul Lateef, PlasmaTherm, talks about the company’s latest acquisition of Milan-based Thin Film Equipment, to complete PlasmaTherm’s sputtering and PVD portfolio, and put them near significant customers in Italy. 

     Neil O’Brien, Finetech, talks about the growing need for high-accuracy die-bonders, and how that’s driving a need for full production tools based on its tabletop machines originally developed for academia. 

     Harald Eppinger, Koh Young Europe, explains how the company’s 3D optical measurement tools deliver precise data that helps to visualize advanced packaging processes to improve quality and reduce failures.  

    Dieter Rathai, DR Yield,  shares some feedback from the Fab Manager Forum and how he uses that information to inform his business and improve his company’s product. 

    Spencer Wall, Jeroen Haex, and Michael Murray, of DSV Solutions explain the role a logistics service provider can play in shaping a sustainable $Trillion Era through inventory and supply chain strategies, as well as a commitment to certified alternative fuels for all modes of transport.  

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    Winbond Electronics' Alex Wei and Omar Ma talk about the Memory of Everything

    Winbond Electronics' Alex Wei and Omar Ma talk about the Memory of Everything

    This episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM. 

    As memory specialists who recently joined the UCIe Consortium, Winbond is helping to address integrating memory in chiplet architectures. 

     Listen in to learn about the difference between code storage and data storage, and why both are critical for supporting today’s advanced smart device cryptography needs. You’ll also learn about data labeling used in AI and machine learning applications. Lastly, you’ll learn about all the different memory solutions Winbond provides for these applications.  

    To learn more, visit www.winbond.com

    Connect with the Speakers on LinkedIN

    Winbond Electronics
    Specialists in high-performance memory for smart industrial and consumer applications.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Bruce Kim, Danny Kim and Rose Lee Talk About Saving the World with Secondary Semiconductor Equipment and Parts

    Bruce Kim, Danny Kim and Rose Lee Talk About Saving the World with Secondary Semiconductor Equipment and Parts

    This episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this ecosystem.
     
     You’ll learn about the status of the current global semiconductor legacy equipment and parts market and how it is being impacted by the current strain on the US and China relationship, as well as how it’s impacting semiconductor manufacturing as demand for devices continues to rise. 

     In response to this, SurplusGLOBAL is introducing its Global Parts Platform. You’ll find out what it is and how it’s satisfying a need in the semiconductor industry. Listen in as the SurplusGLOBAL team provides important details of how the platform is structured, and how you can benefit from participating in it. 

    Contact Our Guests on LinkedIn

    Bruce Kim, CEO, SurplusGLOBAL

    Daniel Kim, Managing Director, Global Parts Platform

    Rose Lee, Marketing Manager, SuplusGLOBAL 

    SurplusGLOBAL
    SurplusGLOBAL is one of the largest one-stop platforms for pre-owned semiconductor equipment.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMICON West 2023 3D InCites Member Spotlight

    SEMICON West 2023 3D InCites Member Spotlight

    Françoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023.  She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and how are they being impacted by the talent shortage?

    • Franziska Petersen, Edwards talks about the company’s role in the Semiconductor Climate Consortium. 
    • Alan Weber, CImetrix by PDF Solutions talks about the adoption and use of standards and how it impacts KPIs. 
    • Paul Lindner. Dave Kirsch, and Garrett Oakes, EV Group, talk about what it means to win its 11th Triple Crown for TechInsights Customer Service Satisfaction Survey.
    • Giancarlo De la Garza, Nordson Test, and Inspection, talks about the acquisition of CyberOptics and what that means for the company’s product portfolio. 
    • Keith Best, Onto Innovation, shares some news about its Application Center of Excellence focused on advanced packaging for panels.
    • Sally Anne Henry and Jim Straus, ACM Research talk about reasons why they think we’re in the midst of a talent shortage across the globe. 
    • Abdul Lateef, CEO, PlasmaTherm explains the role 200mm manufacturing at legacy nodes plays in helping the industry achieve $1T. 
    •  Dieter Rathei, DR Yield, talks about the new fab expansions needing state-of-the-art data management tools to achieve better manufacturing efficiencies.
    • Peter Dijkstra, Trymax Semiconductor, talks about opening Trymax USA, driven by the push to onshore manufacturing in the US. 
    •  Vinay Patwardhan, Cadence, discusses the different EDA needs of 3D HI multi-chiplet systems, compared with simple 2D advance packaging. 
    • Feng Ling, Xpeedic, talks about Metis, the company's simulation tool for 2.5D and 3D IC that won the Herb Reiter Design Tool of the Year Award.
    • David Doyle, Heidenhain, talks about how the company’s motion control products support the precision and accuracy needs of today’s advanced packaging technologies. 
    • Joe Gaustad, ClassOne Technology, talked about the company’s collaboration with universities to bring state-of-the-art tools to the hands of engineering students. 
    • Jobert Van Eisden, MKS Instruments, talks about how next-generation Cu-to-Cu plating chemistry makes a low-temperature die-to-wafer hybrid bonding process. 
    • Joel Scutchfield, Koh Young America talks about the company’s recent entrance into the heterogeneous integration space from PCB assembly space with automated optical inspection tools. 
    • Martijn Pierik, Kiterocket talks about the Kiterocket Lounge hospitality space and the exciting panel discussion they organized and hosted on behalf of its clients that focused on how AI is shaping the semiconductor industry. 
    • Spencer Wall, DSV-IMS talks about the role a global transport and logistics company plays in supporting a robust semiconductor supply chain. 
    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    SEMI’s Ajit Manocha Talks About PFAS and Other Headwinds to Achieving $1Trillion in the Semiconductor industry

    SEMI’s Ajit Manocha Talks About PFAS and Other Headwinds to Achieving $1Trillion in the Semiconductor industry

    Françoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening. 

    The semiconductor industry is growing at an amazing rate as demand for computer chips increases in everything from traditional applications like high-performance computing, data centers, and network architectures to automotive, artificial intelligence, and machine learning applications. Experts anticipate the industry will become a $1T industry by the 2030s. But headwinds including supply chain disruptions, the impending restrictions on PFAS chemicals that are used in semiconductor manufacturing, and the growing talent shortage will cause delays. 

    From this exclusive interview with Ajit Manocha, you’ll learn why these headwinds threaten growth and SEMI’s efforts in addressing them. 

    Contact Ajit Manocha on LinkedIn.

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMI’s Mousumi Bhat and Collin O'Mara, NWF, Talk About Climate Equity and Social Justice

    SEMI’s Mousumi Bhat and Collin O'Mara, NWF, Talk About Climate Equity and Social Justice

    At SEMICON West, one of the key areas of discussion was the Path to Net Zero. What can we do to make sure the semiconductor industry grows in a way that is beneficial to the planet and shows cross-industry leadership? 

    Françoise von Trapp talks to SEMI’s Dr. Mousumi Bhat, VP of Global Sustainability Programs, and Collin O’Mara, CEO of the National Wildlife Federation about key takeaways from the sessions at the Climate Equity & Social Impact Pavilion at SEMICON West.

    The program brought together leading climate and climate justice experts from across the United States, federal agencies like US EPA and the newly established Chips for America office, a White House advisor, and many SEMI member companies such as Lam Research, ASML, Western Digital, and TEL. 

    O’Mara talks about why the CEO of the NWF is at SEMICON West, and the opportunities he sees for the semiconductor industry to address the climate crisis.

    Bhat explains how the Climate Equity Pavilion relates to SEMI’s global work to help the industry collaborate for net zero through the Semiconductor Climate Consortium and other efforts. She also explains the importance of collaboration across the value chain to achieving Net Zero Goals, and what the industry is doing now to accelerate progress.

    Learn more about SEMI's Semiconductor Climate Consortium

     Connect with our Guests on LinkedIN

     Dr. Mousumi Bhat, VP of Global Sustainability Programs

    Collin O’Mara, CEO of the National Wildlife Federation

     

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Trymax Semiconductor
    When Plasma Matters. High-performing solutions for volume manufacturing.

    Nordson Test and Inspection
    Delivering best-in-class test, inspection, and metrology solutions for semiconductor applications.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.





    Bettina Weiss and Bindiya Vakil Talk about Building an Agile Semiconductor Supply Chain

    Bettina Weiss and Bindiya Vakil Talk about Building an Agile Semiconductor Supply Chain

    In this episode, Françoise von Trapp talks with SEMI’s Bettina Weiss, and Bindiya Vakil, of Resilinc about how to navigate the complexities of the semiconductor supply chain. 

    The electronics industry continues to be in a state of flux. After two years of shortages, delays, and skyrocketing costs, the causes of supply chain disruptions keep changing rapidly. There is no single cause. Economic uncertainty, legislation, geopolitics, sustainability, and regionalization are all at play. Supply chain managers must be ready for multiple worst-case scenarios and be able to pivot quickly when disruption hits. Agility is the name of the game and companies must act quickly to changing needs. 

    The conversation focuses on why we’re still struggling with supply chain issues after 2 years, and how these disruptions are likely here to stay. The connection between supply chain issues and sustainability is also examined. 

    You’ll learn why traditional approaches are no longer the best way to go, and how you can set your company up for supply chain success. Lastly, you’ll learn about the SEMI Supply Chain Management Initiative, led by an Industry Advisory Council that now includes ASE, ASM, Dell, GlobalFoundries, Google, Infineon, Intel, KLA, Merck, Schneider Electric, and TSMC.

    To hear more from Bindiya Vakil, listen to the 2022 podcast episode from SEMI ISS 2022: A Conversation about Creating a Risk-Ready Supply Chain.  

    Connect with our Guests on LinkedIn

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    DSV Inventory Management Solutions
    DSV IMS is here to optimize your inventory management and reduce your total cost of ownership.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.





    Tom Sonderman of SkyWater Talks about Growing the Semiconductor Workforce

    Tom Sonderman of SkyWater Talks about Growing the Semiconductor Workforce

    In this SEMICON West podcast preview episode, Françoise talks with SkyWater’s CEO Tom Sonderman, who will deliver a keynote talk, “Creating a Path to Talent”. addressing the workforce shortage currently facing the semiconductor industry.

    Semiconductor manufacturing is on a path to becoming a $1Trillion industry somewhere around 2030. New fabs are being constructed all over the world to meet the growing demand for computer chips. In the US, the CHIPS and Science Act legislation and the promise of a $52B investment to fuel onshore manufacturing is driving this growth. One of the many challenges is building the workforce to support this flourishing industry.  

    Sonderman provides the back story on how the US semiconductor industry got into this talent crisis and what can be done about it.  He gives a glimpse of some of the programs launched at SkyWater to provide pathways for recruitment, to fill critical roles from fab technicians to Ph.Ds. 

    You can catch the full Keynote talk during the CEO Summit Keynote session, The Path to Talent, which takes place on Thursday, July 13  at  SEMICON West.  SkyWater is also working with the SEMI Foundation on workforce development programs. Check out all the CEO Summit Keynotes here.

    Connect with Tom Sonderman on LinkedIn. 

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMI's Joe Stockunas Talks about Changes for SEMICON West

    SEMI's Joe Stockunas Talks about Changes for SEMICON West

    We are just about 5 weeks away from the biggest event of the year for the semiconductor industry – SEMICON West, which takes place July 11-13 at the Moscone Center in San Francisco. 

    This annual event is the flagship of SEMI. The days are packed with conference sessions, the show floor showcases the latest and greatest technologies, the special pavilions are humming with activity, and the networking receptions and satellite parties offer great opportunities for networking. 

    In this episode, Françoise talks with Joe Stockunas, President of SEMI Americas, about some significant and exciting changes happening this year, such as the CEO Summit, which focuses on the path to $1T, the path to Net Zero, and the path for talent. He talks about the 20 under 30 program, recognizing significant contributions by semiconductor technologists who are under 30 years of age. There will be a SemiSisters reception, co-hosted by 3D InCites and sponsored by Edwards, celebrating the women who work in the semiconductor industry. To enhance the attendee exposition experience, new dining options were added that feature local San Francisco fare.

    We also get a sneak peek at more changes coming in 2025. 

     Learn more and register at the SEMICON West website
     

    Connect with Joe Stockunas on LinkedIN

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Logo

    © 2024 Podcastworld. All rights reserved

    Stay up to date

    For any inquiries, please email us at hello@podcastworld.io