Logo

    A Conversation about the Future of Work in the Semiconductor Industry

    en-usDecember 21, 2023
    What was the main topic of the podcast episode?
    Summarise the key points discussed in the episode?
    Were there any notable quotes or insights from the speakers?
    Which popular books were mentioned in this episode?
    Were there any points particularly controversial or thought-provoking discussed in the episode?
    Were any current events or trending topics addressed in the episode?

    About this Episode

    Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa. 

     You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby, Human Resources Director at Vodafone. They discuss what current and future leaders need to embrace to be successful. 

    Listen and learn about:

    •  What makes a good leader
    •  How the leadership paradigm shifted
    • Gaps in current leadership styles or styles that may prevent semiconductor industry growth
    •  How to develop the leaders of tomorrow
    •  What qualities are most important for tomorrow’s leaders
    • The impact of diversity, equity, inclusion and belonging in leadership
    •  And more….

    Find the Speakers on LinkedIN

    ·      Cassandra Melvin, SEMI 

    ·      Flemming Kehr, Mercuri Urval

    ·      Christine Pelissier, Edwards

    ·      Emma Derby, Vodafone

    This wraps up season 3 of the 3D InCite podcast! We’ll take a short break but will be back with all new episodes in 2024. That should give you time to catch up on all the episodes you’ve missed. In the meantime, Happy Holidays from all of us at 3D InCites, to all of you! 

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Recent Episodes from 3D InCites Podcast

    Scott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024

    Scott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024

    The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ. 

     In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium. 

    This year’s event will focus on heterogeneous integration and growth drivers for packaging, with speakers from companies like AMD, Intel, Facebook Reality Labs, and more. The General Business Council session will focus on Chips for America funding, doing business in China, and the significant growth of the U.S. semiconductor supply chain, particularly in Phoenix, AZ. 

     You’ll learn about the key applications driving technology advancements in autonomous vehicles, radar, and high-performance computing. During the panel discussion, you’ll learn about the next killer app and its potential impact of the semiconductor industry. 

    Hayes and Lujan also discuss all the networking opportunities and fund raising activities that you can take part in. 

     Contact our Guests on LinkedIn

    Scott Hayes, Technical Director, NXP Semiconductors 

    Amy Lujan, Vice President, SavanSys

    Registration for IMAPS DPC can be found here. You can also register for the Golf Tournament and Hike for DEI at the same time. 

     If you’d like to participate in the 3D InCites Member and Guest Event – the Backyard Olympics, sign up here. There is no charge to participate, and space is limited. 

     International Women’s Day is March 8th, and to celebrate, we’re partnering with SEMI to bring you an episode on how companies are fostering and implementing DEIB and allyship in their corporate culture. We’re looking for a few mentor/mentee pairs who are interested in sharing there story, as part of the episode. If you’d like to participate, drop me a line at francoise@3DinCites.com. Interviews must take place by February 23 to be included. 

    IMAPS Device Packaging Conference
    Interconnects for Tomorrow’s Applications

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Jean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the World

    Jean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the World

    In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.  

    Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions. You’ll also learn about important enabling technologies that ensure the fast and robust connections that hallmark chiplets.

    Françoise and Jean-Christophe also discuss the key applications using chiplets for their cost-savings and sustainability benefits. 

     In a bonus interview with Jan Vardaman of TechSearch International, Francoise and Jan discuss how chiplets provide the power efficiency needed for next-generation semiconductor devices.

    Contact Our Speakers on LinkedIn:
     

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS Europe

    SEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS Europe

    Françoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%.

    The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS. 

    This year's theme will focus on the microelectronics supply chain, sustainability, and workforce development. 

    You'll learn about the importance of Europe's Chips Act in maintaining competitiveness and increasing strategic positioning in the global value chain.

    You'll also better understand the need for a more resilient supply chain, particularly in light of geopolitical events and the chip shortage.

    You'll learn,  in detail, about the workforce development challenges facing the entire semiconductor industry, and the approach the EU is taking to address them.

    To learn more about ISS Europe 2024, and to register, visit the website.

    Contact Laith Altimime on LinkedIn. 


    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Paul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor Industry

    Paul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor Industry

    At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations. 

     Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory matters in the US, China, the European Union, India, and around the world.

    In this episode, Françoise von Trapp talks with Triolo about why understanding the geopolitical climate is critical for industry leaders to develop successful strategies. They discuss how geopolitics is disrupting the $5 Trillion information and communication technology sector. 

     You’ll learn about:

    • The US’s “Carrots and Sticks” approach to semiconductors. 
    • The potential outcome from regional semiconductor manufacturing silos being created by the various CHIPS Acts. 
    • What’s happening with China and Taiwan
    • India’s efforts in building a semiconductor infrastructure and ecosystem 

    Follow Paul Triolo on X (formerly Twitter) @PSTAsiatech  
    Or connect with him on LinkedIn:  

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMI's Market Intelligence Team Shares Semiconductor Market Forecasts for 2024

    SEMI's Market Intelligence Team Shares Semiconductor Market Forecasts for 2024

    For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there. 

    Economic trends, industry markets, and growth drivers are a critical part of the discussion, so in this first episode, Francoise speaks with members of SEMI’s Market Intelligence team (MIT), Clark Tseng and Inna Skvortsova to get some of the details. 

    We start with a recap of what happened with the semiconductor markets in 2023, and what MIT expects to see in 2024 as the industry looks to recover from a global economic downturn.  

    Skvortsova shares key takeaways from her presentation on materials supply and demand growth outlook. You’ll learn what’s driving growth in this industry, and priorities being set for the year. 

     From Tseng, you’ll learn how geopolitics and the Chips Acts impact the industry. You’ll also learn about the role MIT plays in supporting the industry through its ups and downs.

    Learn more about SEMI's Market Intelligence Team

    Contact Our Panelists on LinkedIn

    • Clark Tseng, Sr. Director, SEMI Market Intelligence Team 
    •  Inna Skvortsova, Market Research Analyst in the SEMI Market Intelligence Team
    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    A Conversation about the Future of Work in the Semiconductor Industry

    A Conversation about the Future of Work in the Semiconductor Industry

    Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa. 

     You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby, Human Resources Director at Vodafone. They discuss what current and future leaders need to embrace to be successful. 

    Listen and learn about:

    •  What makes a good leader
    •  How the leadership paradigm shifted
    • Gaps in current leadership styles or styles that may prevent semiconductor industry growth
    •  How to develop the leaders of tomorrow
    •  What qualities are most important for tomorrow’s leaders
    • The impact of diversity, equity, inclusion and belonging in leadership
    •  And more….

    Find the Speakers on LinkedIN

    ·      Cassandra Melvin, SEMI 

    ·      Flemming Kehr, Mercuri Urval

    ·      Christine Pelissier, Edwards

    ·      Emma Derby, Vodafone

    This wraps up season 3 of the 3D InCite podcast! We’ll take a short break but will be back with all new episodes in 2024. That should give you time to catch up on all the episodes you’ve missed. In the meantime, Happy Holidays from all of us at 3D InCites, to all of you! 

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMI Europe 2023: How Do We Cultivate the Semiconductor Workforce of Tomorrow?

    SEMI Europe 2023: How Do We Cultivate the Semiconductor Workforce of Tomorrow?

    In this episode, which was recorded live at SEMICON Europa 2023, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI. She led the session during the Fab Manager Forum on How To Cultivate the Workforce of Tomorrow and moderated a panel discussion on Achieving EU Ambitions Through Successful Recruitment and Retention. It highlights the instrumental role of universities and governments in supporting the industry's growth.

    Panelists include Richard Goddard, Global Practice Lead for technology Mercuri Urval. Michelle Williams Vaden Deputy Director of SEMI Foundation, and Bernie Carpraro. EU talent development program manager at Intel R&D in Ireland. 

    These experts dive deep into very complex challenges facing the industry to alleviate the talent shortage.  Questions they address include:

    • How Europe’s talent shortage compares with global players, highlighting challenges and opportunities. 
    •  Ways to address the skills gap in the semiconductor industry 
    • The need to develop an infrastructure for new investments in the workforce
    • The importance of retaining talent 
    • Career and professional development 
    •  Maintaining work-life balance 
    • The role of diversity, equity, and inclusion in the semiconductor industry 

    They also share some solutions they've implemented at their own companies. 
    Listen in to learn what your organizations can do now to start building the talent pipeline of the future.  

    Find Speakers on LinkedIn:

     

    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Imec Discusses Collaborative Strategies and Practical Solutions Towards a More Sustainable Semiconductors Future

    Imec Discusses Collaborative Strategies and Practical Solutions Towards a More Sustainable Semiconductors Future

    In this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future. 

     The panel kicks off by polling the audience on what they think are the most pressing issues facing the semiconductor industry as it endeavors to reduce its carbon footprint while simultaneously growing to meet the demands of semiconductor devices, many of which will help other industries on their paths to sustainability. 

    The panel tackles some grave and difficult questions and offers some useful advice on how to collaborate as an industry and the importance of individual efforts made by companies. What is the role of innovation in achieving these goals? Do we need to have standardization around data? Do we need to report more transparency? 

     In some places, you’ll hear instances of the audience polling and the results of those informing the questions asked by panel moderator, Jan-Hinnerk Mohr, Managing Director & Partner, Boston Consulting Group.  

    Panelists   

    • Emily Gallagher, Principal Member of Technical Staff, imec
    • Jean-Marc Girard, CTO and SVP of Manufacturing Technologies, Air Liquide Advanced Materials
    • Benjamin Sokolowski, Managing Director & VP Government Affairs EMEA, Qualcomm
    • Bill Lussier, Senior Vice President Regional Sales & Deputy GM, Tokyo Electron Europe Ltd.
    SEMI
    A global association, SEMI represents the entire electronics manufacturing and design supply chain.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era

    SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era

    This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023. 

    The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news. 

    Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and shares news of his participation at a Dutch and Flemish delegation in Phoenix Arizona in early December. 

    Mike Motherway, Cimetrix by PDF Solutions, talks about the role cloud-native data tools for AI applications play in global fab expansion. 


    Debbie Claire Sanchez at ERS Electronic explains the company’s partnership with PulseForge to bring photonic debonding to their product portfolio as an equipment integrator of this technology. 

    David Wang and Jim Straus of ACM Research share the news of the company’s expansions in Asia as well as the Grand Opening of its new office in Portland, Oregon.

     Hans Peters and Emerald Grieg, PTW Group,  introduce a new tool line, SpinTec, established to renew and restore legacy SEZ single wet wafer tools. 

     Abul Lateef, PlasmaTherm, talks about the company’s latest acquisition of Milan-based Thin Film Equipment, to complete PlasmaTherm’s sputtering and PVD portfolio, and put them near significant customers in Italy. 

     Neil O’Brien, Finetech, talks about the growing need for high-accuracy die-bonders, and how that’s driving a need for full production tools based on its tabletop machines originally developed for academia. 

     Harald Eppinger, Koh Young Europe, explains how the company’s 3D optical measurement tools deliver precise data that helps to visualize advanced packaging processes to improve quality and reduce failures.  

    Dieter Rathai, DR Yield,  shares some feedback from the Fab Manager Forum and how he uses that information to inform his business and improve his company’s product. 

    Spencer Wall, Jeroen Haex, and Michael Murray, of DSV Solutions explain the role a logistics service provider can play in shaping a sustainable $Trillion Era through inventory and supply chain strategies, as well as a commitment to certified alternative fuels for all modes of transport.  

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    Keynote Conversations From SEMICON Europa 2023 On Shaping a Sustainable $1Trillion Era

    Keynote Conversations From SEMICON Europa 2023 On Shaping a Sustainable $1Trillion Era

    This episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the roles their company plays in this task. 

    From Paul de Bot of TSMC Europe, you’ll learn about the company’s R&D investment in continued CMOS scaling and 3D integration, as well as a $32B investment worldwide in capacity expansion to support Moore’s Law, specialty technologies for the automotive market, and advanced packaging.  De Bot explains the different strategies used in Europe, including a partnership with Bosch, Infineon, and NXP, and addresses the company’s commitment to green manufacturing and sustainability.  

    Imec’s Luc Van Den hove explains what he means by “polycrisis” – a word he used to describe the multitude of challenges facing the world, with a specific focus on climate change, and how imec is working to solve these challenges using AI and data analysis. He also talks about the conundrum of using semiconductors to solve climate change, while trying to half our own carbon footprint. Imec is bringing together the entire ecosystem to address these challenges together. 

    Rebecca Dobson, of our member company, Cadence explains the complexities of generative AI, and how it will be a key enabler of growth for the European microelectronics industry. You’ll learn how generative AI impacts team productivity and design team structure, and how it can be used to help us reach our sustainability goals, as well as how it can be used to increase productivity. 

    Lihong Cao, of ASE Group, talks about the challenges the advanced packaging sector is facing as we enter the chiplet era and how to address them. You’ll learn about the importance of developing an integrated chiplet design ecosystem. You’ll also learn how heterogeneous integration can help solve industry challenges in a sustainable way. 

    Contact our Speakers on LinkedIn:

    ·      Paul de Bot, General Manager, EMEA at TSMC Europe

    ·      Luc Van Den hove, President and CEO at imec 

    ·      Rebecca Dobson, Corporate VP, EMEA, Cadence 

    Lihong Cao, Senior Director, Engineering/Technical Marketing, ASE Group 

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    Logo

    © 2024 Podcastworld. All rights reserved

    Stay up to date

    For any inquiries, please email us at hello@podcastworld.io