Logo

    microelectronics industry

    Explore " microelectronics industry" with insightful episodes like "Member Spotlight: What Happened at ECTC 2023", "ECTC 2023: Key Takeaways from the Keynote and Panels, Changes and Future Plans" and "A Conversation About the Role Veterans Can Play in the Microelectronics Industry Workforce" from podcasts like ""3D InCites Podcast", "3D InCites Podcast" and "3D InCites Podcast"" and more!

    Episodes (3)

    Member Spotlight: What Happened at ECTC 2023

    Member Spotlight: What Happened at ECTC 2023

    The 3D InCites community had 24 of its members who were either presenting, exhibiting, or both. This episode features conversations Françoise had with many of the participating members about the key takeaways they got from the event, as it relates to their company’s technology goals. 

    Rozalia Beica and Venkata Mokkapati, AT&S talk about the important role advanced IC substrates have in today’s advanced microelectronics. They addressed questions about the lack of IC substrate manufacturing in the US, and how AT&S is positioned to help support that need. 

    Ram Trichur, of Henkel Corporation accepted the Material Supplier of the Year 3D InCites Award and talked about changing materials requirements to support automotive electronics requirements. 

    Ralph Zorberbier and Rolland Rettenmeier of Evatec talk about advancements in physical vapor deposition for fan-out wafer-level packaging, and thermal management solutions for HPC. They also talk about the traction fan-out panel level packaging is getting. 

    David Levy, of Mosaic Microsystems, was at ECTC to learn about emerging applications that could benefit from the company’s thin glass handling solutions. 

     Stephan Schmidt, LPKF Laser, explains why glass is such an important topic right now in the microelectronics community and the role LPKF has played in solving glass challenges – such as forming through glass vias. 

    Irving Wang, MRSI, talks about what he came to learn at ECTC 2023, and the current focus on hybrid bonding, and the role MRSI plays in die-to-wafer hybrid bonding. 

    Garry Pycroft, Deca, sings the praises of ECTC, and what a great event it is. He also talks about the different segments of the market that Deca’s technologies serves. 

    Curtis Zwenger, at Amkor Technology, shares the news about opening of the smartest OSAT factory in the OSAT world, located in Vietnam.  

    Dave Thomas, KLA’s SPTS division, talks about all the information he gets out of ECTC, and how it relates to what SPTS is working on to support emerging technologies. 

     C.P. Hong and Vikas Gupta, from ASE Group, talk about the company’s new product announcement, FoCoS Bridge, and how it fits into the VIPack pillars. 

    Debbie-Claire Sanchez, ERS Electronic, talks about the company’s efforts to build a portfolio around warpage, with the launching of its warpage metrology system. 

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    ECTC 2023: Key Takeaways from the Keynote and Panels, Changes and Future Plans

    ECTC 2023: Key Takeaways from the Keynote and Panels, Changes and Future Plans

    In this episode, recorded live at ECTC 2023, Françoise interviews some of the key speakers about the hot topics of the week: Quantum computing, the Chips and Science Act, and workforce development. Additionally, she speaks with the winner of the first-ever, IEEE EPS William Chen Distinguished Service Award. The episode concludes with a conversation with the event organizers about changes and future plans. 

    Keynote speaker, Mike Manfra, physics professor at Purdue University, and Scientific Director, Microsoft Quantum Lab West Lafayette, talks about quantum computing — what it is, why we need it, and what challenges and opportunities exist from the microelectronics advanced packaging community to bring it into manufacturing.  

    Dick Otte, Promex, and Joshua Dillon, Marvell, talk about the key takeaways from the Chips Act Special Session – Advanced Packaging in North America, building the ecosystem. 

    Kim Yess, Brewer Science, talks about the Diversity and Career Growth Panel, and the key takeaways discussed by the panel on diversifying our workforce to meet National needs as outlined by the CHIPS Act Initiative. 

    Shaw Fong Wong talks about what inspires him to volunteer his time to the EPS Society. 

     Ibrahim Guven and Florian Herrault wrap up the episode with a look at the success of this year’s format changes and future plans for ECTC 2024 and beyond. 

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    A Conversation About the Role Veterans Can Play in the Microelectronics Industry Workforce

    A Conversation About the Role Veterans Can Play in the Microelectronics Industry Workforce

    This podcast episode was recorded live at SEMICON West 2022 at the Moscone Center in San Francisco, where critical discussions about the latest issues impacting the semiconductor industry took place. 

     Chips aren’t the only thing in short supply, the semiconductor industry talent shortage continues, and SEMI has launched a workforce initiative to address this. In this episode, Françoise von Trapp speaks with General Paul Funk and Major Ray Willson of the United States Army; and Larry Smith, Chairman of the board at TEL, who participated in a panel discussion at SEMICON West on the role military veterans can play in shoring up the microelectronics workforce. 

    All three guests share their Army stories, what it means to be a soldier for life, and about the important connections made there. They also talk about helping transitioning veterans from the military to civilian life, and what makes them so well suited for positions in microelectronics. 

    Smith provides a recap of the SEMI panel, who participated, and some of the key takeaways. He talked about the semiconductor industry workforce image and awareness program, and how partnering with military veterans’ programs can form important connections that help veterans learn about the employment opportunities available to them. 

     General Funk talks about the lifelong connections that a career in the army allows affords, as well as the similarities of characteristics and skill sets that make veterans such ideal candidates for careers in the semiconductor industry. He describes some of the army programs that transition army veterans to civilian life. One of these programs is Soldier for Life.

    Major Ray Willson provides more details on Soldier for Life, which connects the army with government and non-government organizations to influence policies, programs, and services for soldiers veterans, and families. He explains the three pillars in detail. 

     Find our Guests on LinkedIn: 

    Larry Smith, TEL U.S.
    General Paul Funk, U.S. Army
    Major Ray Willson, U.S. Army


    TEL
    Tokyo Electron (TEL) provides innovative semiconductor and flat panel display production equipment.

    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.

    Support the show

    Become a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.




    Logo

    © 2024 Podcastworld. All rights reserved

    Stay up to date

    For any inquiries, please email us at hello@podcastworld.io